Follow
Alex Bystrov
Title
Cited by
Cited by
Year
Design and analysis of dual-rail circuits for security applications
D Sokolov, J Murphy, A Bystrov, A Yakovlev
IEEE Transactions on Computers 54 (4), 449-460, 2005
2182005
Time difference amplifier
AM Abas, A Bystrov, DJ Kinniment, OV Maevsky, G Russell, AV Yakovlev
ELECTRONICS LETTERS-IEE 38 (23), 1437-1437, 2002
1372002
Synchronization circuit performance
DJ Kinniment, A Bystrov, AV Yakovlev
IEEE Journal of Solid-State Circuits 37 (2), 202-209, 2002
1262002
Improving the security of dual-rail circuits
D Sokolov, J Murphy, A Bystrov, A Yakovlev
Cryptographic Hardware and Embedded Systems-CHES 2004: 6th International …, 2004
1032004
Priority Arbiters
A Bystrov, DJ Kinniment, A Yakovlev
Newcastle University, School CS, 1999
961999
Asynchronous circuit synthesis by direct mapping: Interfacing to environment
A Bystrov, A Yakovlev
Proceedings Eighth International Symposium on Asynchronous Circuits and …, 2002
402002
High-security asynchronous circuit implementation of AES
D Shang, F Burns, A Bystrov, A Koelmans, D Sokolov, A Yakovlev
IEE Proceedings-Computers and Digital Techniques, 2006
382006
Asynchronous communication mechanisms using self-timed circuits
F Xia, A Yakovlev, D Shang, A Bystrov, A Koelmans, DJ Kinniment
Proceedings Sixth International Symposium on Advanced Research in …, 2000
312000
Visualisation and resolution of encoding conflicts in asynchronous circuit design
A Madalinski, A Bystrov, V Khomenko, A Yakovlev
IEE Proceedings-Computers and Digital Techniques 150 (5), 285-293, 2003
302003
Multiple-rail phase-encoding for NoC
C D'Alessandro, D Shang, A Bystrov, A Yakovlev, O Maevsky
12th IEEE International Symposium on Asynchronous Circuits and Systems …, 2006
292006
A c-element latch scheme with increased transient fault tolerance for asynchronous circuits
KT Gardiner, A Yakovlev, A Bystrov
13th IEEE International On-Line Testing Symposium (IOLTS 2007), 223-230, 2007
282007
Low-cost online testing of asynchronous handshakes
D Shang, A Yakovlev, F Burns, F Xia, A Bystrov
Eleventh IEEE European Test Symposium (ETS'06), 225-232, 2006
272006
Direct mapping of low-latency asynchronous controllers from STGs
D Sokolov, A Bystrov, A Yakovlev
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2007
262007
On-chip structures for timing measurement and test
DJ Kinniment, OV Maevsky, A Bystrov, G Russell, AV Yakovlev
Microprocessors and Microsystems 27 (9), 473-483, 2003
262003
Ordered arbiters
A Bystrov, A Yakovlev
Electronics Letters, 1999
231999
Balancing power signature in secure systems
A Bystrov, D Sokolov, A Yakovlev, A Koelmans
Proc. 14th UK Asynchronous Forum 2003, 2003
222003
Efficient polarity conversion for large Boolean functions
L Wang, AEA Almaini, A Bystrov
IEE Proceedings-Computers and Digital Techniques 146 (4), 197-204, 1999
211999
STG optimisation in the direct mapping of asynchronous circuits
D Sokolov, A Bystrov, A Yakovlev
2003 Design, Automation and Test in Europe Conference and Exhibition, 932-937, 2003
182003
Design and security evaluation of balanced 1-of-n circuits
F Burns, A Bystrov, A Koelmans, A Yakovlev
IET computers & digital techniques 6 (2), 125-135, 2012
172012
On-line testing of globally asynchronous circuits
D Shang, A Bystrov, A Yakovlev, D Koppad
11th IEEE International On-Line Testing Symposium, 135-140, 2005
172005
The system can't perform the operation now. Try again later.
Articles 1–20