Follow
Jie LIANG
Title
Cited by
Cited by
Year
A survey of carbon nanotube interconnects for energy efficient integrated circuits
A Todri-Sanial, R Ramos, H Okuno, J Dijon, A Dhavamani, M Widlicenus, ...
IEEE Circuits and Systems Magazine 17 (2), 47-62, 2017
342017
Investigation of Pt-salt-doped-standalone-multiwall carbon nanotubes for on-chip interconnect applications
J Liang, R Chen, R Ramos, J Lee, H Okuno, D Kalita, V Georgiev, ...
IEEE Transactions on Electron Devices 66 (5), 2346-2352, 2019
162019
Variability Study of MWCNT Local Interconnects Considering Defects and Contact Resistances—Part I: Pristine MWCNT
DK Chen, Rongmei, Jie Liang, Jaehyun Lee, Vihar P. Georgiev, Raphael Ramos ...
IEEE Transactions on Electron Devices 99, 1-8, 2018
14*2018
Progress on carbon nanotube BEOL interconnects
B Uhlig, J Liang, J Lee, R Ramos, A Dhavamani, N Nagy, J Dijon, ...
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 937-942, 2018
132018
A physics-based investigation of Pt-salt doped carbon nanotubes for local interconnects
J Liang, R Ramos, J Dijon, H Okuno, D Kalita, D Renaud, J Lee, ...
2017 IEEE International Electron Devices Meeting (IEDM), 35.5. 1-35.5. 4, 2017
132017
Understanding electromigration in Cu-CNT composite interconnects: A multiscale electrothermal simulation study
J Lee, S Berrada, F Adamu-Lema, N Nagy, VP Georgiev, T Sadi, J Liang, ...
IEEE Transactions on Electron Devices 65 (9), 3884-3892, 2018
122018
Atomistic-to Circuit-Level Modeling of Doped SWCNT for On-Chip Interconnects
J Liang, J Lee, S Berrada, VP Georgiev, R Pandey, R Chen, A Asenov, ...
IEEE Transactions on Nanotechnology 17 (6), 1084-1088, 2018
82018
Variability study of mwcnt local interconnects considering defects and contact resistances—Part II: Impact of charge transfer doping
R Chen, J Liang, J Lee, VP Georgiev, R Ramos, H Okuno, D Kalita, ...
IEEE Transactions on Electron Devices 65 (11), 4963-4970, 2018
82018
Physical description and analysis of doped carbon nanotube interconnects
J Liang, L Zhang, N Azemard-Crestani, P Nouet, A Todri-Sanial
2016 26th International Workshop on Power and Timing Modeling, Optimization …, 2016
62016
The impact of vacancy defects on CNT interconnects: From statistical atomistic study to circuit simulations
J Lee, S Berrada, J Liang, T Sadi, VP Georgiev, A Todri-Sanial, D Kalita, ...
2017 International Conference on Simulation of Semiconductor Processes and …, 2017
52017
Electrochemical Glucose Sensor using Single-Wall Carbon Nanotube Field Effect Transistor
RR Pandey, J Liang, D Cakiroglu, B Charlot, A Todri-Sanial
arXiv preprint arXiv:2006.12973, 2020
42020
Importance of Interconnects: A Technology-System-Level Design Perspective
J Liang, A Todri-Sanial
2019 IEEE International Electron Devices Meeting (IEDM), 23.1. 1-23.1. 4, 2019
42019
Challenges and Progress on Carbon Nanotube Integration for BEOL Interconnects
B Uhlig, A Dhavamani, N Nagy, K Lilienthal, R Liske, R Ramos, J Dijon, ...
2018 IEEE International Interconnect Technology Conference (IITC), 16-18, 2018
32018
Atoms-to-circuits simulation investigation of CNT interconnects for next generation CMOS technology
J Lee, J Liang, SM Amoroso, T Sadi, L Wang, F Asenov, A Pender, ...
2017 International Conference on Simulation of Semiconductor Processes and …, 2017
22017
A hierarchical model for CNT and Cu-CNT composite interconnects: from density functional theory to circuit-level simulations
L Jaehyun, S Toufik, J Liang, V Georgiev, A Todri-Sanial, A Asen
IWCN: International Workshop on Computational Nanotechnology, 2017
12017
Power and Performance Analysis of Doped SW/DW CNT for On-Chip Interconnect Application
A Todri-Sanial, J Liang
GRAPHENE, 2017
12017
Piezoelectric Biosensor
A Todri-Sanial, RR Pandey, J Liang
2019
Piezoresistive Sensor Device with Amplification Module
A Todri-Sanial, RR Pandey, J Liang
2019
Piezoelectric Sensors Based on 1D/2D Materials for Smart Health Monitorin IoT
M Dhifallah, J Liang, T Gil, N Azemard, B Charlot, A Giani, A Lacampagne, ...
Colloque du GDR SoC-SiP, 2019
2019
Progress on Pt-Salt Doped Carbon Nanotubes for Local Interconnects
J Liang, R Ramos, J Dijon, H Okuno, D Kalita, L Jaehyun, VP Georgiev, ...
Colloque du GDR SoC-SiP, 2018
2018
The system can't perform the operation now. Try again later.
Articles 1–20