Follow
Sharad Malik
Sharad Malik
Professor of Electrical and Computer Engineering, Princeton University
Verified email at princeton.edu - Homepage
Title
Cited by
Cited by
Year
Chaff: Engineering an efficient SAT solver
MW Moskewicz, CF Madigan, Y Zhao, L Zhang, S Malik
Proceedings of the 38th annual Design Automation Conference, 530-535, 2001
49692001
Power analysis of embedded software: A first step towards software power minimization
V Tiwari, S Malik, A Wolfe
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2 (4), 437-445, 1994
15311994
System-level design: Orthogonalization of concerns and platform-based design
K Keutzer, AR Newton, JM Rabaey, A Sangiovanni-Vincentelli
IEEE transactions on computer-aided design of integrated circuits and …, 2000
13462000
Efficient conflict driven learning in a boolean satisfiability solver
L Zhang, CF Madigan, MH Moskewicz, S Malik
IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE …, 2001
12112001
Orion: A power-performance simulator for interconnection networks
HS Wang, X Zhu, LS Peh, S Malik
35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002 …, 2002
10172002
Instruction level power analysis and optimization of software
V Tiwari, S Malik, A Wolfe, MTC Lee
Technologies for wireless computing, 139-154, 1996
8211996
Evaluating the security of logic encryption algorithms
P Subramanyan, S Ray, S Malik
2015 IEEE International Symposium on Hardware Oriented Security and Trust …, 2015
7712015
Performance analysis of embedded software using implicit path enumeration
YTS Li, S Malik
Proceedings of the ACM SIGPLAN 1995 workshop on Languages, compilers …, 1995
7711995
Logic verification using binary decision diagrams in a logic synthesis environment
S Malik, AR Wang, RK Brayton, A Sangiovanni-Vincentelli
1988 IEEE International Conference on Computer-Aided Design, 6, 7, 8, 9-6, 7 …, 1988
7401988
Conflict-driven clause learning SAT solvers
J Marques-Silva, I Lynce, S Malik
Handbook of satisfiability, 133-182, 2021
6122021
Addressing the system-on-a-chip interconnect woes through communication-based design
M Sgroi, M Sheets, A Mihal, K Keutzer, S Malik, J Rabaey, ...
Proceedings of the 38th annual design automation conference, 667-672, 2001
5282001
Power-driven design of router microarchitectures in on-chip networks
H Wang, LS Peh, S Malik
Proceedings. 36th Annual IEEE/ACM International Symposium on …, 2003
5182003
The quest for efficient boolean satisfiability solvers
L Zhang, S Malik
Computer Aided Verification: 14th International Conference, CAV 2002 …, 2002
5042002
A survey of optimization techniques targeting low power VLSI circuits
S Devadas, S Malik
Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, 242-247, 1995
4601995
Algorithms for discrete function manipulation
A Srinivasan, T Ham, S Malik, RK Brayton
1990 IEEE international conference on computer-aided design, 92, 93, 94, 95 …, 1990
4391990
Validating SAT solvers using an independent resolution-based checker: Practical implementations and other applications
L Zhang, S Malik
2003 Design, Automation and Test in Europe Conference and Exhibition, 880-885, 2003
4112003
Cache miss equations: a compiler framework for analyzing and tuning memory behavior
S Ghosh, M Martonosi, S Malik
ACM Transactions on Programming Languages and Systems (TOPLAS) 21 (4), 703-746, 1999
3861999
Power analysis and minimization techniques for embedded DSP software
MTC Lee, V Tiwari, S Malik, M Fujita
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 5 (1), 123-135, 1997
3671997
On solving the partial MAX-SAT problem
Z Fu, S Malik
International Conference on Theory and Applications of Satisfiability …, 2006
3452006
Cache modeling for real-time software: Beyond direct mapped instruction caches
YTS Li, S Malik, A Wolfe
17th IEEE Real-Time Systems Symposium, 254-263, 1996
3351996
The system can't perform the operation now. Try again later.
Articles 1–20