Follow
Andrey Mokhov
Andrey Mokhov
Visiting Fellow at Newcastle University, UK
Verified email at ncl.ac.uk - Homepage
Title
Cited by
Cited by
Year
Conditional partial order graphs: Model, synthesis, and application
A Mokhov, A Yakovlev
IEEE Transactions on Computers 59 (11), 1480-1493, 2010
692010
Conditional Partial Order Graphs
A Mokhov
PhD thesis, Newcastle University, 2009
462009
Workcraft: a static data flow structure editing, visualisation and analysis tool
I Poliakov, D Sokolov, A Mokhov
International Conference on Application and Theory of Petri Nets, 505-514, 2007
462007
Automated verification of asynchronous circuits using circuit Petri nets
I Poliakov, A Mokhov, A Rafiev, D Sokolov, A Yakovlev
IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC …, 2008
452008
Algebra of Parameterised Graphs
A Mokhov, V Khomenko
ACM Transactions on Embedded Computing Systems 13 (4s), 2014
412014
Build Systems à la Carte
A Mokhov, N Mitchell, S Peyton Jones
Proceedings of the ACM on Programming Languages 2 (ICFP), 79, 2018
402018
Desugaring Haskell’s do-notation Into Applicative Operations
S Marlow, SP Jones, E Kmett, A Mokhov
ACM SIGPLAN Haskell Symposium 2016, 2016
382016
Algebraic graphs with class (functional pearl)
A Mokhov
Proceedings of the 10th ACM SIGPLAN International Symposium on Haskell, 2-13, 2017
272017
Synthesis of processor instruction sets from high-level ISA specifications
A Mokhov, A Iliasov, D Sokolov, M Rykunov, A Yakovlev, A Romanovsky
IEEE Transactions on Computers 63 (6), 1552-1566, 2014
252014
Design of Processors with Reconfigurable Microarchitecture
A Mokhov, M Rykunov, D Sokolov, A Yakovlev
Journal of Low Power Electronics and Applications 4 (1), 26-43, 2014
242014
Improved Parallel Composition of Labelled Petri Nets
A Alekseyev, V Khomenko, A Mokhov, D Wist, A Yakovlev
Eleventh International Conference on Application of Concurrency to System …, 2011
242011
Conditional partial order graphs and dynamically reconfigurable control synthesis
A Mokhov, A Yakovlev
Design, Automation and Test in Europe, 2008. DATE'08, 1142-1147, 2008
232008
Towards asynchronous power management
D Sokolov, A Mokhov, A Yakovlev, D Lloyd
2014 IEEE Faible Tension Faible Consommation (FTFC), 1-4, 2014
222014
Encoding of processor instruction sets with explicit concurrency control
A Mokhov, A Alekseyev, A Yakovlev
IET computers & digital techniques 5 (6), 427-439, 2011
222011
Workcraft: Ten years later
D Sokolov, V Khomenko, A Mokhov
This asynchronous world. Essays dedicated to Alex Yakovlev on the occasion …, 2016
202016
Mining Conditional Partial Order Graphs from Event Logs
A Mokhov, J Carmona, J Beaumont
Transactions on Petri Nets and Other Models of Concurrency XI, 114-136, 2016
202016
Design and verification of speed-independent multiphase buck controller
D Sokolov, V Khomenko, A Mokhov, A Yakovlev, D Lloyd
Proceedings of the IEEE International Symposium on Asynchronous Circuits and …, 2015
202015
Benefits of Asynchronous Control for Analog Electronics: Multiphase Buck Case Study
D Sokolov, V Dubikhin, V Khomenko, D Lloyd, A Mokhov, A Yakovlev
Design, Automation & Test in Europe Conference (DATE), 2017
192017
An algorithm for direct construction of complete merged processes
V Khomenko, A Mokhov
Applications and Theory of Petri Nets: 32nd International Conference, PETRI …, 2011
192011
Selective applicative functors
A Mokhov, G Lukyanov, S Marlow, J Dimino
Proceedings of the ACM on Programming Languages 3 (ICFP), 1-29, 2019
182019
The system can't perform the operation now. Try again later.
Articles 1–20