Follow
Greg Stitt
Title
Cited by
Cited by
Year
A performance and energy comparison of FPGAs, GPUs, and multicores for sliding-window applications
J Fowers, G Brown, P Cooke, G Stitt
Proceedings of the ACM/SIGDA international symposium on Field Programmable …, 2012
3422012
Dynamic hardware/software partitioning: A first approach
G Stitt, R Lysecky, F Vahid
Proceedings of the 40th annual Design Automation Conference, 250-255, 2003
2082003
Warp processors
R Lysecky, G Stitt, F Vahid
ACM Transactions on Design Automation of Electronic Systems (TODAES) 11 (3 …, 2004
1912004
A high memory bandwidth fpga accelerator for sparse matrix-vector multiplication
J Fowers, K Ovtcharov, K Strauss, ES Chung, G Stitt
2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom …, 2014
1762014
A high memory bandwidth fpga accelerator for sparse matrix-vector multiplication
J Fowers, K Ovtcharov, K Strauss, ES Chung, G Stitt
2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom …, 2014
1762014
Intermediate fabrics: Virtual architectures for circuit portability and fast placement and routing
J Coole, G Stitt
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware …, 2010
1372010
Energy savings and speedups from partitioning critical software loops to hardware in embedded systems
G Stitt, F Vahid, S Nematbakhsh
ACM Transactions on Embedded Computing Systems (TECS) 3 (1), 218-232, 2004
1322004
Hardware/software partitioning of software binaries
G Stitt, F Vahid
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided …, 2002
122*2002
Warp processing: Dynamic translation of binaries to FPGA circuits
F Vahid, G Stitt, R Lysecky
Computer 41 (7), 40-46, 2008
1142008
Novo-g: At the forefront of scalable reconfigurable supercomputing
A George, H Lam, G Stitt
Computing in Science & Engineering 13 (1), 82-86, 2010
1042010
Profiling tools for hardware/software partitioning of embedded applications
DC Suresh, WA Najjar, F Vahid, JR Villarreal, G Stitt
Proceedings of the 2003 ACM SIGPLAN conference on Language, compiler, and …, 2003
1012003
Elastic computing: a framework for transparent, portable, and adaptive multi-core heterogeneous computing
JR Wernsing, G Stitt
ACM SIGPLAN Notices 45 (4), 115-124, 2010
932010
Energy advantages of microprocessor platforms with on-chip configurable logic
G Stitt, F Vahid
IEEE Design & Test of Computers 19 (6), 36-43, 2002
812002
Improving software performance with configurable logic
J Villarreal, D Suresh, G Stitt, F Vahid, W Najjar
Design Automation for Embedded Systems 7, 325-339, 2002
652002
Intermediate fabrics: Virtual architectures for near-instant FPGA compilation
G Stitt, J Coole
IEEE Embedded Systems Letters 3 (3), 81-84, 2011
622011
VirtualRC: a virtual FPGA platform for applications and tools portability
R Kirchgessner, G Stitt, A George, H Lam
Proceedings of the ACM/SIGDA international symposium on Field Programmable …, 2012
562012
Binary synthesis
G Stitt, F Vahid
ACM Transactions on Design Automation of Electronic Systems (TODAES) 12 (3 …, 2008
542008
Hardware/software partitioning of software binaries: a case study of h. 264 decode
G Stitt, F Vahid, G McGregor, B Einloth
Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware …, 2005
512005
A performance and energy comparison of convolution on GPUs, FPGAs, and multicore processors
J Fowers, G Brown, J Wernsing, G Stitt
ACM Transactions on Architecture and Code Optimization (TACO) 9 (4), 1-21, 2013
482013
Using on-chip configurable logic to reduce embedded system software energy
G Stitt, B Grattan, J Villarreal, F Vahid
Proceedings. 10th Annual IEEE Symposium on Field-Programmable Custom …, 2002
482002
The system can't perform the operation now. Try again later.
Articles 1–20