Follow
Samuel Bayliss
Samuel Bayliss
Doctoral Prize Research Fellow, Imperial College London
Verified email at imperial.ac.uk - Homepage
Title
Cited by
Cited by
Year
High-level synthesis of dynamic data structures: A case study using Vivado HLS
F Winterstein, S Bayliss, GA Constantinides
2013 International conference on field-programmable technology (FPT), 362-365, 2013
1502013
FPGA-based K-means clustering using tree-based data structures
F Winterstein, S Bayliss, GA Constantinides
2013 23rd International Conference on Field programmable Logic and …, 2013
692013
Offline synthesis of online dependence testing: Parametric loop pipelining for HLS
J Liu, S Bayliss, GA Constantinides
2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom …, 2015
412015
MATCHUP: Memory abstractions for heap manipulating programs
F Winterstein, K Fleming, HJ Yang, S Bayliss, G Constantinides
Proceedings of the 2015 ACM/SIGDA International Symposium on Field …, 2015
412015
An FPGA implementation of the simplex algorithm
S Bayliss, GA Constantinides, W Luk
2006 IEEE international conference on field programmable technology, 49-56, 2006
382006
Optimizing SDRAM bandwidth for custom FPGA loop accelerators
S Bayliss, GA Constantinides
Proceedings of the ACM/SIGDA international symposium on Field Programmable …, 2012
362012
Polyhedral-based dynamic loop pipelining for high-level synthesis
J Liu, J Wickerson, S Bayliss, GA Constantinides
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2017
322017
Survey of domain-specific languages for FPGA computing
N Kapre, S Bayliss
2016 26th International Conference on Field Programmable Logic and …, 2016
302016
Datapath synthesis for overclocking: Online arithmetic for latency-accuracy trade-offs
K Shi, D Boland, E Stott, S Bayliss, GA Constantinides
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
302014
Separation logic-assisted code transformations for efficient high-level synthesis
F Winterstein, S Bayliss, GA Constantinides
2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom …, 2014
232014
SOAP: structural optimization of arithmetic expressions for high-level synthesis
X Gao, S Bayliss, GA Constantinides
2013 International Conference on Field-Programmable Technology (FPT), 112-119, 2013
232013
Methodology for designing statically scheduled application-specific SDRAM controllers using constrained local search
S Bayliss, GA Constantinides
2009 International Conference on Field-Programmable Technology, 304-307, 2009
232009
GPU vs FPGA: A comparative analysis for non-standard precision
UI Minhas, S Bayliss, GA Constantinides
Reconfigurable Computing: Architectures, Tools, and Applications: 10th …, 2014
212014
Area implications of memory partitioning for high-level synthesis on FPGAs
L Gallo, A Cilardo, D Thomas, S Bayliss, GA Constantinides
2014 24th International Conference on Field Programmable Logic and …, 2014
142014
Vyasa: A high-performance vectorizing compiler for tensor convolutions on the xilinx ai engine
P Chatarasi, S Neuendorffer, S Bayliss, K Vissers, V Sarkar
2020 IEEE High Performance Extreme Computing Conference (HPEC), 1-10, 2020
132020
Separation logic for high-level synthesis
FJ Winterstein, SR Bayliss, GA Constantinides
ACM Transactions on Reconfigurable Technology and Systems (TRETS) 9 (2), 1-23, 2015
132015
Application specific memory access, reuse and reordering for SDRAM
S Bayliss, GA Constantinides
International Symposium on Applied Reconfigurable Computing, 41-52, 2011
122011
The evolution of domain-specific computing for deep learning
S Neuendorffer, AK Khodamoradi, K Denolf, AK Jain, S Bayliss
IEEE Circuits and Systems Magazine 21 (2), 75-96, 2021
62021
Dataflow graph programming environment for a heterogenous processing system
SA Gupta, SR Bayliss, VK Kathail, RD Wittig, PB James-Roxby, A Sastry
US Patent 11,204,745, 2021
42021
Constraints for applications in a heterogeneous programming environment
DK Monga, SA Gupta, SR Bayliss, K Barman
US Patent 11,113,030, 2021
42021
The system can't perform the operation now. Try again later.
Articles 1–20