Follow
Wonyoung Kim
Wonyoung Kim
Unknown affiliation
No verified email
Title
Cited by
Cited by
Year
System level analysis of fast, per-core DVFS using on-chip switching regulators
W Kim, MS Gupta, GY Wei, D Brooks
2008 IEEE 14th International Symposium on High Performance Computer …, 2008
10152008
A fully-integrated 3-level DC-DC converter for nanosecond-scale DVFS
W Kim, D Brooks, GY Wei
IEEE Journal of Solid-State Circuits 47 (1), 206-219, 2011
3072011
A fully-integrated 3-level DC/DC converter for nanosecond-scale DVS with fast shunt regulation
W Kim, DM Brooks, GY Wei
2011 IEEE International Solid-State Circuits Conference, 268-270, 2011
1342011
Voltage smoothing: Characterizing and mitigating voltage noise in production processors via software-guided thread scheduling
VJ Reddi, S Kanev, W Kim, S Campanoni, MD Smith, GY Wei, D Brooks
2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 77-88, 2010
1302010
Integratable efficient switching down converter
GD Carpenter, W Kim, BL Ji
US Patent 8,212,537, 2012
472012
Enabling on-chip switching regulators for multi-core processors using current staggering
W Kim, MS Gupta, GY Wei, DM Brooks
Proceedings of the Work. on Architectural Support for Gigascale Integration, 2007
462007
Voltage noise in production processors
VJ Reddi, S Kanev, W Kim, S Campanoni, MD Smith, GY Wei, D Brooks
IEEE micro 31 (1), 20-28, 2010
442010
A fully integrated battery-connected switched-capacitor 4: 1 voltage regulator with 70% peak efficiency using bottom-plate charge recycling
T Tong, X Zhang, W Kim, D Brooks, GY Wei
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 1-4, 2013
352013
Reducing power loss, cost and complexity of SoC power delivery using integrated 3-level voltage regulators
W Kim
52013
Design Guideline of Multi-Phase Buck Converters for Optimum Performance
W Kim, GY Wei
2001
ISSCC 2011/SESSION 15/HIGH-PERFORMANCE SOCS & COMPONENTS/15.3
W Kim, DM Brooks, GY Wei
The system can't perform the operation now. Try again later.
Articles 1–11