Follow
Lillian Pentecost
Lillian Pentecost
Assistant Professor of Computer Science, Amherst College
Verified email at amherst.edu - Homepage
Title
Cited by
Cited by
Year
Ares: A framework for quantifying the resilience of deep neural networks
B Reagen, U Gupta, L Pentecost, P Whatmough, SK Lee, N Mulholland, ...
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), 1-6, 2018
3142018
Mlperf training benchmark
P Mattson, C Cheng, G Diamos, C Coleman, P Micikevicius, D Patterson, ...
Proceedings of Machine Learning and Systems 2, 336-349, 2020
2992020
EdgeBERT: Sentence-level energy optimizations for latency-aware multi-task NLP inference
T Tambe, C Hooper, L Pentecost, T Jia, EY Yang, M Donato, V Sanh, ...
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
792021
MASR: A Modular Accelerator for Sparse RNNs
U Gupta, B Reagen, L Pentecost, M Donato, T Tambe, AM Rush, GY Wei, ...
2019 28th International Conference on Parallel Architectures and Compilation …, 2019
592019
Logic Compatible High-Performance Ferroelectric Transistor Memory
S Dutta, H Ye, A Khanna, YC Luo, L Pentecost, AA Khandker, ...
arXiv preprint arXiv:2105.11078, 2021
432021
MaxNVM: Maximizing DNN Storage Density and Inference Efficiency with Sparse Encoding and Error Mitigation
L Pentecost, M Donato, B Reagen, U Gupta, S Ma, GY Wei, D Brooks
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
412019
A 16nm 25mm2 SoC with a 54.5x Flexibility-Efficiency Range from Dual-Core Arm Cortex-A53 to eFPGA and Cache-Coherent Accelerators
PN Whatmough, SK Lee, M Donato, HC Hsueh, SL Xi, U Gupta, ...
2019 Symposium on VLSI Circuits, C34-C35, 2019
362019
On-chip deep neural network storage with multi-level eNVM
M Donato, B Reagen, L Pentecost, U Gupta, D Brooks, GY Wei
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
362018
NVMExplorer: A Framework for Cross-Stack Comparisons of Embedded Non-Volatile Memories
L Pentecost, A Hankin, M Donato, M Hempstead, GY Wei, D Brooks
2022 IEEE International Symposium on High-Performance Computer Architecture …, 2022
192022
EdgeBERT: Optimizing On-chip inference for multi-task NLP
T Tambe, C Hooper, L Pentecost, EY Yang, M Donato, V Sanh, AM Rush, ...
122020
MEMTI: Optimizing On-Chip Nonvolatile Storage for Visual Multitask Inference at the Edge
M Donato, L Pentecost, D Brooks, GY Wei
IEEE Micro 39 (6), 73-81, 2019
122019
Application-driven design exploration for dense ferroelectric embedded non-volatile memories
MM Sharifi, L Pentecost, R Rajaei, A Kazemi, Q Lou, GY Wei, D Brooks, ...
2021 IEEE/ACM International Symposium on Low Power Electronics and Design …, 2021
102021
Application of Approximate Matrix Multiplication to Neural Networks and Distributed SLAM
B Plancher, CD Brumar, I Brumar, L Pentecost, S Rama, D Brooks
2019 IEEE High Performance Extreme Computing Conference (HPEC), 1-7, 2019
72019
A Creative First Assignment in the Modern Graphics Pipeline.
E Fourquet, L Pentecost
Eurographics (Education Papers), 43-46, 2018
22018
Quantifying the impact of data encoding on DNN fault tolerance
E Pyne, L Pentecost, U Gupta, GY Wei, D Brooks
FASTPATH, 2020
12020
CHAMPVis: Comparative Hierarchical Analysis of Microarchitectural Performance
L Pentecost, U Gupta, E Ngan, J Beyer, GY Wei, D Brooks, M Behrisch
2019 IEEE/ACM International Workshop on Programming and Performance …, 2019
12019
Accelerating dynamically typed languages with a virtual function cache
L Pentecost, J Stratton
Proceedings of the 2nd International Workshop on Hardware-Software Co-Design …, 2015
12015
Analytically Modeling NVM Design Trade-Offs
L Pentecost, M Donato, A Sriraman, GY Wei, D Brooks
Non-Volatile Memories Workshop (Poster), 0
1
NVMSurvey: Recent Advances and Comparative Analysis of Emerging Non-Volatile Memories (eNVMs)
S Ghimire, S Kataoka, L Pentecost
2023 IEEE International Symposium on Workload Characterization (IISWC), 229-231, 2023
2023
CompressionGPT: Evaluating Fault Tolerance of a Compressed Large Language Model
N Kapur, A Rangel, L Pentecost
2023 IEEE International Symposium on Workload Characterization (IISWC), 232-234, 2023
2023
The system can't perform the operation now. Try again later.
Articles 1–20